[Synth 8-993] logic is an unknown type

3件の投稿を表示中 - 1 - 3件目 (全3件中)
  • 投稿者
    投稿
  • #843

    2回めの”artyをつかってみよう”をやってみているのですが、

    (* mark_debug *) logic [31:0] counter;
    に赤い波線がついて

    [Synth 8-993] logic is an unknown type [test.sv”:28]
    [Common 17-69] Command failed: Vivado Synthesis failed

    のエラーが出ます。一度目はうまく行って 2度めのプロジェクトにプログラムをコピーペーストしてもうまくいきません。プログラムの閉じ方があるのでしょうか?アドバイスお願いします。

    module test(
    input clk,
    output led
    );

    (* mark_debug *) logic [31:0] counter;

    always @(posedge clk) begin

    counter <= counter +1;
    end
    assign led = counter [24];

    endmodule

    #844
    ando
    参加者

    ソースコードがVerilogとして読み込まれているのだと思います。
    ソースコードのプロパティを開いて、TypeをSystemVerilogに変更してみてください。

    #845

    ご回答ありがとうございます。やってみます。

3件の投稿を表示中 - 1 - 3件目 (全3件中)
  • このトピックに返信するにはログインが必要です。
タイトルとURLをコピーしました