ACRi ルームへようこそ! › フォーラム › ACRiルームについての質問・コメント › [Synth 8-993] logic is an unknown type
タグ: エラーメッセージ
- このトピックには2件の返信、2人の参加者があり、最後にu_ontariokozouにより3年、 6ヶ月前に更新されました。
3件の投稿を表示中 - 1 - 3件目 (全3件中)
- 投稿者投稿
- 2021-05-07 04:58#843
2回めの”artyをつかってみよう”をやってみているのですが、
(* mark_debug *) logic [31:0] counter;
に赤い波線がついて[Synth 8-993] logic is an unknown type [test.sv”:28]
[Common 17-69] Command failed: Vivado Synthesis failedのエラーが出ます。一度目はうまく行って 2度めのプロジェクトにプログラムをコピーペーストしてもうまくいきません。プログラムの閉じ方があるのでしょうか?アドバイスお願いします。
module test(
input clk,
output led
);(* mark_debug *) logic [31:0] counter;
always @(posedge clk) begin
counter <= counter +1;
end
assign led = counter [24];endmodule
2021-05-08 21:21#844ando参加者ソースコードがVerilogとして読み込まれているのだと思います。
ソースコードのプロパティを開いて、TypeをSystemVerilogに変更してみてください。2021-05-12 22:19#845ご回答ありがとうございます。やってみます。
- 投稿者投稿
3件の投稿を表示中 - 1 - 3件目 (全3件中)
- このトピックに返信するにはログインが必要です。